Talent.com

Physical design engineer Jobs in USA

Last updated: 12 hours ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

LanceSoft, Inc.san jose, CA, United States
$75.00–$100.00 hourly
Full-time +1
Pay rate range : $75 / hr to $100 / hr on W2.Adding more Info to specs as below-.Well-rounded technical leads with extensive experience in large sub-systems and full-chip. Min 2-3 full chip execution wit...Show moreLast updated: 23 hours ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

MIEUX PTE. LTD.Singapore, Michigan, Singapore
IC physical design of 6nm / 4nm / 3nm and below world leading advanced process chip, from RTL to GDS.Block / Macro execution role for Synthesis / APR / PV tasks, solving the critical issue and give the solut...Show moreLast updated: 5 days ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

Fidelis CompaniesSunnyvale, CA, United States
Full-time
Physical Design Engineer – San Jose.This role focuses on the physical design of integrated circuits (ICs), where you will be responsible for the end-to-end implementation of physical design process...Show moreLast updated: 2 days ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

Sintegra Inc.San Francisco, CA, United States
Full-time
Block level design from Netlist-to-GDSII : synthesis, floor-planning, place & route, timing / EMIR and signoff.Proficient with Synopsys Implementation tool suite. Structural implementation : datapaths, ...Show moreLast updated: 7 days ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

EnfabricaMountain View, CA, United States
Join an ambitious and highly experienced team of silicon and hyperscale data center systems experts as a Physical Design Engineer. Our team is motivated by a singular mission : to revolutionize the p...Show moreLast updated: 15 days ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

Technical-Link N. AmericaSan Jose, CA, United States
Full-time
Physical Design / Place and Route Engineer.Cadence - Innovus PNR flow expertise.Experience with importing design in the Virtuoso environment. Experience with physical design verification using stand...Show moreLast updated: 2 days ago
  • Promoted
  • New!
Physical Design Engineer

Physical Design Engineer

IntelliswiftSonoma, CA, United States
Full-time
Sunnyvale, California or Austin, Texas - Onsite.Job Description & Skill Requirement.The role requires individuals with experience in backend implementation from Netlist to GDSII in low power and hi...Show moreLast updated: 12 hours ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

Capgemini EngineeringSan Jose, CA, United States
$88,800.00–$187,740.00 yearly
Full-time
Synopsys / Cadence EDA Tools, Fusion Compiler, ICC2.Verilog / VHDL, Synopsys / Cadence EDA Tools, Primetime, ICC2, Fusion Compiler, Python, Perl, Virtuoso. Chip level floor planning, partitioning, timing ...Show moreLast updated: 2 days ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

Intelliswift - An LTTS CompanyAlameda, CA, United States
Full-time
Sunnyvale, California or Austin, Texas - Onsite.Job Description & Skill Requirement.The role requires individuals with experience in backend implementation from Netlist to GDSII in low power and hi...Show moreLast updated: 14 days ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

RecootySanta Clara, CA, US
Full-time
Synapse Design has an exciting opportunity for a.Physical Design Engineering team.We are looking for an experienced engineer. Skill Set : BS or above in electrical engineering or computer engineering...Show moreLast updated: 1 day ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

SintegraAustin, TX, United States
Full-time
We are seeking a skilled Block-Level Design Engineer.The ideal candidate will have experience in RTL-to-GDSII design, including synthesis, floor-planning, place & route, timing / EMIR / PV closure, and...Show moreLast updated: 2 days ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

CiscoSan Jose, CA, United States
Full-time
The application window is expected to close on 3 / 01 / 25.This is an onsite role and will require working out of the Milpitas / San Jose office location. The Common Hardware Group (CHG) delivers the sili...Show moreLast updated: 13 days ago
  • Promoted
  • New!
Physical Design Engineer

Physical Design Engineer

Acceler8 TalentAlameda, CA, United States
Full-time
We are seeking a Physical Design Engineer to join an extremely exciting startup that is developing a next-generation processor specifically optimized for large language models (LLMs).With an experi...Show moreLast updated: 12 hours ago
  • Promoted
  • New!
Physical Design Engineer

Physical Design Engineer

Oho Group LtdSonoma, CA, United States
Full-time
One of the US's most exciting scale-ups are looking for a Senior Physical Design Engineer to join their RISC-V development team. With an existing team made up of the world's best engineering talent,...Show moreLast updated: 12 hours ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

L&T Technology ServicesSunnyvale, CA, United States
Full-time
Location : Austin, TX or Sunnyvale, CA.Qualification / Experience / Skills Required : .Hands-on tape-out experience performing timing and physical verification closure on 5nm FinFET TSMC process or simila...Show moreLast updated: 2 days ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

Chelsea Search GroupMinneapolis, MN, United States
Full-time
Full-time / Direct-hire + Benefits.Minneapolis, MN (onsite / hybrid).Experienced Place and Route (P&R) Engineer will be responsible for implementing complex digital designs from RTL to GDSII, with a fo...Show moreLast updated: 2 days ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

YohCupertino, CA, United States
$90.00 hourly
Full-time
Tools, flow, & design methodology from RTL synthesis to GDSII sign-off.Experience with back-end design & timing closure on 3nm-7nm. Experience with UPF-based low power design methodologies, power ve...Show moreLast updated: 2 days ago
  • Promoted
  • New!
Physical Design Engineer

Physical Design Engineer

QuEST GlobalSanta Clara, CA, United States
Full-time
Experience in Physical Design with mainstream P&R tools.Bachelors OR Masters Degree Engineering in Electronics or Electrical or Telecom or VLSI Engineering. Working on 10nm / 7nm / 5nm or lower nodes de...Show moreLast updated: 14 hours ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

Cisco Systems, Inc.San Jose, CA, United States
The application window is expected to close on 3 / 01 / 25.This is an onsite role and will require working out of the Milpitas / San Jose office location. The Common Hardware Group (CHG) delivers the sili...Show moreLast updated: 30+ days ago
  • Promoted
Physical Design Engineer

Physical Design Engineer

ATR InternationalMilpitas, CA, United States
Full-time
Critical to this position is the ability to articulate technical discussions with ASIC Customers and design teams and work closely with customer, frontend and integration teams to ensure successful...Show moreLast updated: 20 days ago
Physical Design Engineer

Physical Design Engineer

LanceSoft, Inc.san jose, CA, United States
23 hours ago
Salary
$75.00–$100.00 hourly
Job type
  • Full-time
  • Permanent
Job description

Pay rate range : $75 / hr to $100 / hr on W2

Job description :

Adding more Info to specs as below-

  • Well-rounded technical leads with extensive experience in large sub-systems and full-chip
  • Min 2-3 full chip execution with End-to-End Physical Design activities like FCT (full chip Timing) FCFP (full chip floor planning)
  • good communication skills as he will be representing AW in customer discussions at the customer site
  • should be able to handle complex tech questions coming from the customer.

Responsibilities :

Work closely with logic design team to define physical architecture and drive physical aspects during the design cycle.

Collaborate across teams (physical design, logic design, package, DFT and test).

Hands-on synthesis and PnR using industry standard tools for high-speed digital designs in advanced process nodes.

Perform all aspects of sign-off including power, timing, physical verification checks, and design closure.

Experience

5+ years of experience in Physical Design and timing closure.

Hands-on experience in synthesis, PnR and STA using Cadence / Synopsys tools for complex digital designs in 7nm and below.

Must have experience of multiple large SoC tapeouts in advanced nodes including hands-on experience in chip-level physical design and STA closure.

Strong experience in SOC / ASIC / GPU / CPU design flows on taped out designs, expertise in timing closure at block / chip levels and ECO flows.

Employee Benefits :

At LanceSoft, full time regular employees who work a minimum of 30 hours a week or more are entitled to the following benefits :

  • Four options of medical Insurance
  • Dental and Vision Insurance
  • 401k Contributions
  • Critical Illness Insurance
  • Voluntary Permanent Life Insurance
  • Accident Insurance
  • Other Employee Perks
  • About LanceSoft

    LanceSoft is rated as one of the largest staffing firms in the US by SIA. Our mission is to establish global cross-culture human connections that further the careers of our employees and strengthen the businesses of our clients. We are driven to use the power of our global network to connect businesses with the right people, and people with the right businesses without bias. We provide Global Workforce Solutions with a human touch.

    EEO Employer

    LanceSoft is a certified Minority Business Enterprise (MBE) and an equal opportunity employer. We prohibit discrimination and harassment of any kind based on race, color, sex, religion, sexual orientation, national origin, disability, genetic information, pregnancy, or any other protected characteristic as outlined by federal, state, or local laws.

    This policy applies to all employment practices within our organization, including hiring, recruiting, promotion, termination, layoff, recall, leave of absence, compensation, benefits, training, and apprenticeship. LanceSoft makes hiring decisions based solely on qualifications, merit, and business needs at the time.

    Want to read more about LanceSoft?

    Click here to visit our website - www.lancesoft.com