As an FPGA Design Engineer in the Hardware Engineering team, you will create solutions on our FPGA platform to support radar and communications payload as well as our ground infrastructure. Work with HW Design Engineers and Embedded SW engineers to rapidly prototype and bring up satellite payloads t...
Position: CPU RTL Design Engineer,. Configurable Design Features Development, assessment, and refinement of RTL design to target power, performance, area and timing goals. Drive the micro-architecture and design of a critical CPU block or multiple blocks. Technical lead and supervise junior CPU RTL ...
As a controls Design Engineer you will be applying technical expertise to design control projects, select materials, develop operation sequences, and produce project closeout documents. Produce control system design drawings, operation sequences, and submittals for various projects, supporting Senio...
Power Design team is seeking experienced UPF experts to build and validate IP/SOC level power intent definitions for the new high performance power efficient SOC designs. The role will be at the center of a state-of-the-art power design effort, interfacing with all disciplines and have a critical im...
Currently, Strativ is partnered with a leader in electric Vertical Takeoff and Landing (eVTOL), designing, developing, and manufacturing light eVTOLs. Hardware / Electrical Engineer to join their team. The role involves designing, manufacturing, and testing avionics and electronics. Use Altium Desig...
RTL ASIC Design Engineers with 8 to 15+ years of experience. Exp with Logic design /micro-architecture / RTL coding is a must. Experience in design of DDR / USB /SATA/ PCIe controller or such complex protocols is a plus. Hands on experience in Multi Clock designs, Asynchronous interface is a must. ...
The role will include coordinating with the current staff controls engineers, the design team project management staff, and mechanical and electrical engineers, providing engineering services supporting multiple projects from conceptual through detailed design, construction administration, and commi...
Ensure compliance to the elements of Design Controls including Planning, Design Input, Design Output, Design Review, Design Verification, Design Validation, Design Transfer, Design Changes, and Design History File. BS or MS degree in Software Engineering, Biomedical Engineering, Electical Engineerin...
Apply knowledge and gain experience in ASIC design including RTL and logic design, physical and circuits design, and timing and power convergence. Senior ASIC Physical Design PPA Engineer page is loaded. Senior ASIC Physical Design PPA Engineer. We are now looking for a motivated Senior ASIC Physica...
At Groq, you will work with some of the world’s most talented engineers and leaders to shape the future of compute. ...
Fortinet is looking for a passionate ASIC Designer to join our R&D team! This role involves working on cutting edge high performance ASIC design from specification to RTL implementation. Design high performance and high quality ASIC/FPGA design from specification to RTL implementation. The new membe...
Proven record of the complex logic designs and timing closure on the large sophisticated designs. Demonstrated expertise in SoC hardware micro architecture and design in the area of data center storage chips. Define chip level hardware architecture and design requirements by collaborating with syste...
As a mechanical design engineer, you will be at the forefront of driving innovation and excellence in the conceptualization, design, development, prototyping, testing, and manufacturing of the next generation of groundbreaking power unit. Job Description: Mechanical Design Engineer. In this position...
You will collaborate with cross-functional teams, including system engineers, optical designers, and digital IC designers, to ensure the successful development and delivery of high-performance analog IC solutions. We are seeking a highly skilled Senior Analog IC Designer/Architect with experience in...
CAPEX and OPEX Prepare PFD, P&ID, and HAZOP reviews for FEED Lead design of plant control systems for iron-based cathode manufacturing Lead process equipment commissioning at pilot and mass production scale Lead project management of manufacturing facility construction at pilot and mass producti...
Successful applicant will participate in the design and development of a fully configurable and fully featured Network on Chip (NoC) product. Responsibilities include hardware architecture and micro-architecture definition, as well as RTL design to achieve high performance and low power. Cadence is ...
Will you help us design the next generation of revolutionary Apple products? Be a part of a premier design team responsible for the architecture, design, implementation, and integration of the baseband system for all Apple products. Experience with mobile platform system design, system integration a...
This includes automated synthesis and timing driven place and route of RTL blocks for high speed datapath and control logic applications, automated design flows for clock tree synthesis, clock and power gating techniques, buffer/repeater insertion, scan stitching, design optimization for improved ti...
As a CPU Physical Design and Integration Engineer, you will be participating in the physical design, integration, and verification of high performance, low power processor development. Full chip floorplan, area optimizations, block partitioning and pin placements - Own chip level place and route (Pn...
Innova Solutions is immediately hiring an RTL ASIC Design Engineer Position type: Full TimeDuration: Full TimeLocation: Mountain View, CA (Onsite) As an RTL ASIC Design Engineer, you will: Minimum Qualifications: RTL ASIC Design lead with some storage backgroundExp with Logic design /micro-architec...
Do you have a passion for crafting entirely new solutions? As part of our Digital Design Engineering group, you'll take imaginative and revolutionary ideas and determine how to turn them into reality! You and your team will apply engineering fundamentals and start from scratch if needed, bringing fo...
Senior ASIC Design Engineer - GenAI. Lead the microarchitecture and design implementation of a high-performance, low-power convolutional neural network accelerator ASIC. Architect and implement complex RTL designs. Collaborate with physical design team on implementation and timing. ...
Degrees or certifications required: Looking for actual experience – degree is less important, however a Bachelor’s degree in Electrical Engineering, Computer Engineering, Computer Science, or related degree would be relevant. Support post-silicon verification activities of the products working with ...
OR Bachelor's degree in Electrical Engineering, Computer Engineering, Computer Science, or related field AND 4+ years technical engineering experience or internship experience OR Master's degree in Electrical Engineering, Computer Engineering, Computer Science, or related field AND 3+ years technica...
Develop and own physical design implementation of multi-hierarchy low-power designs including physical-aware logic synthesis design for testability floorplan place and route static timing analysis IR Drop EM and physical verification in advanced technology nodes. Title: Silicon Physical Design Engin...